Kamis, 28 Oktober 2010

Cinta Indonesia


Indonesia negara tercinta ku
Indonesia jiwa raga ku

Bersatu untuk negri ku
Tanah air indonesia

Bangsa yang kini kusinggahi

untuk mencari kehidupan
Bangsa yang kutempati

demi mencari perdamaian

hidup indonesia ku
satukan jiwa raga mu

demi persatuan yang utuh

Dengan simbol Bhineka Tunggal Ika

ciptakan perdamaian
Indonesia bangsa ku
kurela berkorban untukmu

Indonesia negri ku
negri tercinta ku

Tanah air INDONESIA



Karya : Ku sang anak bangsa

Program IEEE

Program IEEE

Library IEEE: use IEEE.std_logic_1164.all; use IEEE.std_logic_textio.all; use IEEE.std_logic_arith.all; use IEEE.numeric_bit.all; use IEEE.numeric_std.all; use IEEE.std_logic_signed.all; use IEEE.std_logic_unsigned.all; use IEEE.math_real.all; use IEEE.math_complex.all;Library STD: use STD.standard.all; use STD.textio.all;Library WORK: pendeklarasian yang implisit,dimana semua source codenya akan dimasukkan ke library tersebut.example: use WORK.da...

VHDL Dalam Flip-Flop


Sebuah contoh program vhdl dari D flip-flop edge triggered positif dengan asynchronous Reset:library ieee;use ieee.std_logic_1164.all;entity DFF_RST is port (CLK, RESET, D : in std_logic; Q : out std_logic);end DFF_RST;architecture BEHAV_DFF of DFF_RST isbeginDFF_PROCESS: process (CLK, RESET) begin if (RESET = ‘1’) then Q <= ‘0’; elsif (CLK’event and CLK = ‘1’) then ...